CADENCE DESIGN SYSTEMS ANALOG Overview

Download Overview of Cadence ANALOG Other for Free or View it Online on All-Guides.com.

Brand: Cadence

Category: Other

Type: Overview

Model: Cadence ANALOG , Cadence MIXED-SIGNAL DESIGN METHODOLOGY

Pages: 6 (1.17 Mb)

Download Cadence ANALOG Overview

Cadence ANALOG Overview - Page 1
1
Cadence ANALOG Overview - Page 2
2
Cadence ANALOG Overview - Page 3
3
Cadence ANALOG Overview - Page 4
4
Cadence ANALOG Overview - Page 5
5
Cadence ANALOG Overview - Page 6
6
OVERVIEW
The Cadence
®
Analog/Mixed-Signal (AMS) Design Methodology employs
advanced Cadence Virtuoso
®
custom design technologies and leverages
silicon-accurate design flows to help design teams create differentiated silicon
faster and with less risk. It delivers verified and packaged methodologies
demonstrated on a real-world mixed-signal design. The Cadence AMS Design
Methodology combines the best of top-down (behavioral and mixed-level
approaches) with bottom-up (transistor-level design and abstraction) design
techniques to achieve predictable, high-quality results for complex mixed-
signal designs.
CADENCE ANALOG/
MIXED-SIGNAL DESIGN
METHODOLOGY
AMS DESIGN METHODOLOGY
The Cadence AMS Design Methodology delivers an extensive
design and data flow guide, from design specification through
design manufacturing, across the different functions of a design
team. It is based on executable design tasks and recommended
use models for fast, silicon-accurate mixed-signal design that
ensures first-pass silicon success. The AMS Design Methodology
addresses the analog-driven mixed-signal design process front to
back by executing well-defined flows that demonstrate a meet-
in-the-middle approach, in which all design flows are running in
parallel to minimize design iterations, maximize project resource
utilization, and enhance design quality.
The AMS Design Methodology addresses the entire design
process and comprises five major flows:
1. Design environment and infrastructure
2. Top-down functional verification
3. AMS IP block creation and reuse
4. AMS IP export and integration
5. Top-down physical design
Top-down functional verification
AMS IP block creation and reuse
Top-down physical design
Design environment
and infrastructure
AMS IP export
and integration
Figure 1: The Cadence AMS Design Methodology consists of five main flows